Timing local streams: Improving timeliness in data prefetching

Huaiyu Zhu, Yong Chen, Xian He Sun

Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

15 Scopus citations

Abstract

Data prefetching technique is widely used to bridge the growing performance gap between processor and memory. Numerous prefetching techniques have been proposed to exploit data patterns and correlations in the miss address stream. In general, the miss addresses are grouped by some common characteristics, such as program counter or memory region they belong to, into localized streams to improve prefetch accuracy and coverage. However, the existing stream localization technique lacks the timing information of misses. This drawback can lead to a large fraction of untimely prefetches, which in turn limits the effectiveness of prefetching, wastes precious bandwidth and leads to high cache pollution potentially. This paper proposes a novel mechanism named stream timing technique that can largely reduce untimely prefetches and in turn increase the overall performance. Based on the proposed stream timing technique, we extend the conventional stride prefetcher and propose a new stride prefetcher called Time-Aware Stride (TAS) prefetcher. We have carried out extensive simulation experiments to verify the design of the stream timing technique and the TAS prefetcher. The simulation results show that the proposed stream timing technique is promising in reducing untimely prefetches and the IPC improvement of TAS prefetcher outperforms the existing stride prefetcher by 11%.

Original languageEnglish
Title of host publicationICS'10 - 2010 International Conference on Supercomputing
Pages169-178
Number of pages10
DOIs
StatePublished - 2010
Event24th ACM International Conference on Supercomputing, ICS'10 - Tsukuba, Ibaraki, Japan
Duration: Jun 2 2010Jun 4 2010

Publication series

NameProceedings of the International Conference on Supercomputing

Conference

Conference24th ACM International Conference on Supercomputing, ICS'10
Country/TerritoryJapan
CityTsukuba, Ibaraki
Period06/2/1006/4/10

Keywords

  • cache memory
  • data prefetching
  • prefetching performance
  • prefetching simulation

Fingerprint

Dive into the research topics of 'Timing local streams: Improving timeliness in data prefetching'. Together they form a unique fingerprint.

Cite this